Start 2010-07-28T20:01:44 ActivePerl-1200 CPAN-1.9402 Going to read '/home/fly1200/var/cpan/Metadata' Database was generated on Wed, 28 Jul 2010 21:27:03 GMT Running make for B/BR/BRICAS/Games-NES-ROM-0.07.tar.gz Checksum for /net/nas/data/cpan/authors/id/B/BR/BRICAS/Games-NES-ROM-0.07.tar.gz ok Games-NES-ROM-0.07/ Games-NES-ROM-0.07/Changes Games-NES-ROM-0.07/META.yml Games-NES-ROM-0.07/Makefile.PL Games-NES-ROM-0.07/README Games-NES-ROM-0.07/lib/ Games-NES-ROM-0.07/lib/Games/ Games-NES-ROM-0.07/lib/Games/NES/ Games-NES-ROM-0.07/lib/Games/NES/ROM.pm Games-NES-ROM-0.07/lib/Games/NES/ROM/ Games-NES-ROM-0.07/lib/Games/NES/ROM/Database.pm Games-NES-ROM-0.07/lib/Games/NES/ROM/Format/ Games-NES-ROM-0.07/lib/Games/NES/ROM/Format/UNIF.pm Games-NES-ROM-0.07/lib/Games/NES/ROM/Format/INES.pm Games-NES-ROM-0.07/t/ Games-NES-ROM-0.07/t/04-db.t Games-NES-ROM-0.07/t/20-unif.t Games-NES-ROM-0.07/t/99-pod.t Games-NES-ROM-0.07/t/03-sprite.t Games-NES-ROM-0.07/t/01-use.t Games-NES-ROM-0.07/t/98-pod_coverage.t Games-NES-ROM-0.07/t/10-ines.t Games-NES-ROM-0.07/t/roms/ Games-NES-ROM-0.07/t/roms/test.nes Games-NES-ROM-0.07/t/roms/test.unif Games-NES-ROM-0.07/t/roms/notarom.nes Games-NES-ROM-0.07/inc/ Games-NES-ROM-0.07/inc/Module/ Games-NES-ROM-0.07/inc/Module/Install/ Games-NES-ROM-0.07/inc/Module/Install/Base.pm Games-NES-ROM-0.07/inc/Module/Install/Metadata.pm Games-NES-ROM-0.07/inc/Module/Install/Win32.pm Games-NES-ROM-0.07/inc/Module/Install/Makefile.pm Games-NES-ROM-0.07/inc/Module/Install/WriteAll.pm Games-NES-ROM-0.07/inc/Module/Install/Can.pm Games-NES-ROM-0.07/inc/Module/Install/Fetch.pm Games-NES-ROM-0.07/inc/Module/Install.pm Games-NES-ROM-0.07/MANIFEST CPAN.pm: Going to build B/BR/BRICAS/Games-NES-ROM-0.07.tar.gz >>> /home/fly1200/ap1200/bin/perl-static Makefile.PL Checking if your kit is complete... Looks good Writing Makefile for Games::NES::ROM >>> make cp lib/Games/NES/ROM/Database.pm blib/lib/Games/NES/ROM/Database.pm cp lib/Games/NES/ROM.pm blib/lib/Games/NES/ROM.pm cp lib/Games/NES/ROM/Format/UNIF.pm blib/lib/Games/NES/ROM/Format/UNIF.pm cp lib/Games/NES/ROM/Format/INES.pm blib/lib/Games/NES/ROM/Format/INES.pm Manifying blib/man3/Games::NES::ROM::Database.3 Manifying blib/man3/Games::NES::ROM.3 Manifying blib/man3/Games::NES::ROM::Format::INES.3 Manifying blib/man3/Games::NES::ROM::Format::UNIF.3 BRICAS/Games-NES-ROM-0.07.tar.gz make -- OK Running make test >>> make test TEST_VERBOSE=1 PERL_DL_NONLAZY=1 /home/fly1200/ap1200/bin/perl-static "-MExtUtils::Command::MM" "-e" "test_harness(1, 'inc', 'blib/lib', 'blib/arch')" t/*.t t/01-use.t ........... 1..3 ok 1 - use Games::NES::ROM; ok 2 - use Games::NES::ROM::Format::INES; ok 3 - use Games::NES::ROM::Format::UNIF; ok t/03-sprite.t ........ 1..13 ok 1 - use Games::NES::ROM; ok 2 - The object isa Games::NES::ROM ok 3 ok 4 ok 5 ok 6 ok 7 ok 8 ok 9 ok 10 ok 11 ok 12 ok 13 ok t/04-db.t ............ 1..6 ok 1 - use Games::NES::ROM::Database; ok 2 - The object isa Games::NES::ROM::Database ok 3 - by CRC ok 4 - by SHA-1 ok 5 - by ROM object ok 6 - entry does not exist ok t/10-ines.t .......... 1..17 ok 1 - use Games::NES::ROM::Format::INES; ok 2 ok 3 ok 4 ok 5 ok 6 - The object isa Games::NES::ROM ok 7 - id() ok 8 - filename() ok 9 - has_sram() ok 10 - chr_count() ok 11 - prg_count() ok 12 - mapper() ok 13 - mirroring() ok 14 - title() ok 15 - trainer() ok 16 - crc() ok 17 - sha1() ok t/20-unif.t .......... 1..21 ok 1 - use Games::NES::ROM::Format::UNIF; ok 2 ok 3 ok 4 ok 5 ok 6 - The object isa Games::NES::ROM ok 7 - id() ok 8 - filename() ok 9 - has_sram() ok 10 - chr_count() ok 11 - prg_count() ok 12 - mapper() ok 13 - mirroring() ok 14 - title() ok 15 - revision() ok 16 - comments() ok 17 - tvci() ok 18 - controller() ok 19 - has_vror() ok 20 - crc() ok 21 - sha1() ok t/98-pod_coverage.t .. 1..4 ok 1 - Pod coverage on Games::NES::ROM ok 2 - Pod coverage on Games::NES::ROM::Database ok 3 - Pod coverage on Games::NES::ROM::Format::UNIF ok 4 - Pod coverage on Games::NES::ROM::Format::INES ok t/99-pod.t ........... 1..4 ok 1 - POD test for blib/lib/Games/NES/ROM.pm ok 2 - POD test for blib/lib/Games/NES/ROM/Database.pm ok 3 - POD test for blib/lib/Games/NES/ROM/Format/UNIF.pm ok 4 - POD test for blib/lib/Games/NES/ROM/Format/INES.pm ok All tests successful. Files=7, Tests=68, 11 wallclock secs ( 0.05 usr 0.00 sys + 7.39 cusr 0.81 csys = 8.25 CPU) Result: PASS BRICAS/Games-NES-ROM-0.07.tar.gz make test TEST_VERBOSE=1 -- OK Brian Cassidy <bricas@cpan.org> View information about an NES game from a ROM file >>> (cd /home/fly1200/var/cpan/build/Games-NES-ROM-0.07-_NaiPS && tar cvf - Games-NES-ROM-0.07.ppd blib) | gzip -c >/home/fly1200/var/REPO/B/BR/BRICAS/Games-NES-ROM-0.07.tar.gz Games-NES-ROM-0.07.ppd blib/ blib/lib/ blib/lib/Games/ blib/lib/Games/NES/ blib/lib/Games/NES/ROM/ blib/lib/Games/NES/ROM/Database.pm blib/lib/Games/NES/ROM/Format/ blib/lib/Games/NES/ROM/Format/UNIF.pm blib/lib/Games/NES/ROM/Format/INES.pm blib/lib/Games/NES/ROM.pm blib/man3/ blib/man3/Games::NES::ROM::Database.3 blib/man3/Games::NES::ROM::Format::INES.3 blib/man3/Games::NES::ROM::Format::UNIF.3 blib/man3/Games::NES::ROM.3 >>> mv /home/fly1200/var/cpan/build/Games-NES-ROM-0.07-_NaiPS/Games-NES-ROM-0.07.ppd /home/fly1200/var/REPO/B/BR/BRICAS Out of memory! /home/fly1200/ap1200/bin/perl-static exits with 1